Info

Permalink
Function
gtk_application_before_emit
First occurrence
2022-11-20
Last occurrence
2023-03-13
State
NEW
Components
lutris
Quality
-116

Statistics

Operating system Count
Fedora 37 30
Architecture Count
x86_64 30
Executable Count
/usr/bin/python3.11 30
Related packages Count
abattis-cantarell-fonts 11
 0:0.301-8.fc37 11
shared-mime-info 9
 0:2.2-2.fc37 9
ncurses-libs 6
 0:6.3-3.20220501.fc37 5
 0:6.4-3.20230114.fc37 1
Show moreā€¦ (15)
gvfs-client 5
 0:1.50.2-2.fc37 5
dejavu-sans-mono-fonts 4
 0:2.37-19.fc37 4
hicolor-icon-theme 3
 0:0.17-14.fc37 3
xorg-x11-drv-nvidia-libs 3
 3:520.56.06-1.fc37 1
 3:525.78.01-1.fc37 1
 3:530.41.03-1.fc37 1
google-droid-sans-fonts 2
 0:20200215-13.fc37 2
gtk3 2
 0:3.24.34-2.fc37 2
libxcb 2
 0:1.13.1-10.fc37 2
python3 2
 0:3.11.3-1.fc37 1
 0:3.11.4-1.fc37 1
nss-mdns 1
 0:0.15.1-6.fc37 1
nvidia-driver-libs 1
 3:525.60.13-1.fc36 1
lutris 1
 0:0.5.12-4.fc37 1
adwaita-icon-theme 1
 0:43-1.fc37 1
gdk-pixbuf2 1
 0:2.42.10-1.fc37 1
libedit 1
 0:3.1-43.20221009cvs.fc37 1
llvm-libs 1
 0:15.0.7-2.fc37 1

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

Report backtrace


Complete report #634717
Frame # Function Binary Source or offset Line
1
gtk_application_before_emit
/lib64/libgtk-3.so.0 0xbbf72
Build id: 3519557d12e07af0d679e425d55a69a07cefe267
-
2
g_application_impl_method_call
/lib64/libgio-2.0.so.0 0xdd7e8
Build id: 67b6e34538841cb4a37f2627dac7626ec451d721
-
3
call_in_idle_cb.lto_priv.2
/lib64/libgio-2.0.so.0 0x10185c
Build id: 67b6e34538841cb4a37f2627dac7626ec451d721
-
4
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x55c72
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
5
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56c7f
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
6
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xad118
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
7
g_main_loop_run
/lib64/libglib-2.0.so.0 0x5624f
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
8
gtk_dialog_run
/lib64/libgtk-3.so.0 0x160f72
Build id: 3519557d12e07af0d679e425d55a69a07cefe267
-
9
ffi_call_unix64
/lib64/libffi.so.8 0x7a06
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
10
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x449d
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
11
ffi_call
/lib64/libffi.so.8 0x7083
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
12
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
13
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
14
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e9669
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
15
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c738b
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
16
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1befca
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
17
_PyObject_FastCallDictTstate
/lib64/libpython3.11.so.1.0 0x1bd351
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
18
slot_tp_init
/lib64/libpython3.11.so.1.0 0x1e7ecc
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
19
type_call
/lib64/libpython3.11.so.1.0 0x1ba78b
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
20
_PyObject_MakeTpCall
/lib64/libpython3.11.so.1.0 0x1ba593
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
21
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c31a4
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
22
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1befca
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
23
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd135
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
24
marshal_emission_hook
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x21242
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
25
signal_emit_unlocked_R.isra.0
/lib64/libgobject-2.0.so.0 0x40d4a
Build id: dca95a679cf6bb8d7e3a9a2e239aa50b95026ceb
-
26
pygobject_emit
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x1a0a6
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
27
method_vectorcall_VARARGS
/lib64/libpython3.11.so.1.0 0x1bedb8
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
28
PyObject_Vectorcall
/lib64/libpython3.11.so.1.0 0x1d0d77
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
29
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c31a4
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
30
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1befca
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
31
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd135
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
32
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c738b
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
33
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1befca
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
34
_pygi_closure_handle
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d8b9
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
35
ffi_closure_unix64_inner
/lib64/libffi.so.8 0x75e2
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
36
ffi_closure_unix64
/lib64/libffi.so.8 0x7c28
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
37
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x55c72
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
38
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56c7f
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
39
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xad118
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
40
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x53f00
Build id: eac9203e396de94741ad9651644497c245a83ae8
-
41
g_application_run
/lib64/libgio-2.0.so.0 0xdef7d
Build id: 67b6e34538841cb4a37f2627dac7626ec451d721
-
42
ffi_call_unix64
/lib64/libffi.so.8 0x7a06
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
43
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x449d
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
44
ffi_call
/lib64/libffi.so.8 0x7083
Build id: 56594b436dfdeaf3559f3dd0748c0e476cca46de
-
45
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
46
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
47
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e9669
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
48
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c738b
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
49
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1befca
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
50
PyEval_EvalCode
/lib64/libpython3.11.so.1.0 0x248fac
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
51
run_eval_code_obj
/lib64/libpython3.11.so.1.0 0x268153
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
52
run_mod
/lib64/libpython3.11.so.1.0 0x26468a
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
53
pyrun_file
/lib64/libpython3.11.so.1.0 0x27a6b2
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
54
_PyRun_SimpleFileObject
/lib64/libpython3.11.so.1.0 0x279e79
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
55
_PyRun_AnyFileObject
/lib64/libpython3.11.so.1.0 0x279ae8
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
56
Py_RunMain
/lib64/libpython3.11.so.1.0 0x27379b
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
57
Py_BytesMain
/lib64/libpython3.11.so.1.0 0x238e6b
Build id: 903ee609a8138ff5a0b798e2b9b615316dcc0ce0
-
58
__libc_start_call_main
/lib64/libc.so.6 0x27510
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
59
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x275c9
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
60
_start
/usr/bin/python3.11 0x1095
Build id: 6b8d3381b0a182c94cb61fa5bc717144c85d9986
-
Complete report #554155
Frame # Function Binary Source or offset Line
1
gtk_application_before_emit
/lib64/libgtk-3.so.0 0xbc7a2
Build id: 861af9a185010d21c8f14a81d2e35a6cbdee6319
-
2
g_application_impl_method_call.lto_priv.0
/lib64/libgio-2.0.so.0 0xeaf7b
Build id: 93afbc6be1a75d23d7d393b1ad638cd3576586e7
-
3
call_in_idle_cb.lto_priv.2
/lib64/libgio-2.0.so.0 0x10bc39
Build id: 93afbc6be1a75d23d7d393b1ad638cd3576586e7
-
4
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x55cb2
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
5
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56cbf
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
6
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xac598
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
7
g_main_loop_run
/lib64/libglib-2.0.so.0 0x5628f
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
8
gtk_dialog_run
/lib64/libgtk-3.so.0 0x161752
Build id: 861af9a185010d21c8f14a81d2e35a6cbdee6319
-
9
ffi_call_unix64
/lib64/libffi.so.8 0x76d6
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
10
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x4492
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
11
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
12
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
13
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e97b9
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
14
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
15
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
16
_PyObject_FastCallDictTstate
/lib64/libpython3.11.so.1.0 0x1bc911
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
17
slot_tp_init
/lib64/libpython3.11.so.1.0 0x1e801c
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
18
type_call
/lib64/libpython3.11.so.1.0 0x1b9d0b
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
19
_PyObject_MakeTpCall
/lib64/libpython3.11.so.1.0 0x1b9b13
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
20
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c2a7a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
21
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
22
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd2e5
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
23
marshal_emission_hook
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x21242
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
24
signal_emit_unlocked_R.isra.0
/lib64/libgobject-2.0.so.0 0x41b6a
Build id: be217b1a4293170c6f560645618214fe20be3278
-
25
pygobject_emit
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x1a0a6
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
26
method_vectorcall_VARARGS
/lib64/libpython3.11.so.1.0 0x1be3d8
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
27
PyObject_Vectorcall
/lib64/libpython3.11.so.1.0 0x1d0707
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
28
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c2a7a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
29
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
30
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd2e5
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
31
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
32
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
33
_pygi_closure_handle
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d8b9
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
34
ffi_closure_unix64_inner
/lib64/libffi.so.8 0x72b2
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
35
ffi_closure_unix64
/lib64/libffi.so.8 0x78f8
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
36
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x55cb2
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
37
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56cbf
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
38
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xac598
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
39
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x53f40
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
40
g_application_run
/lib64/libgio-2.0.so.0 0xe194d
Build id: 93afbc6be1a75d23d7d393b1ad638cd3576586e7
-
41
ffi_call_unix64
/lib64/libffi.so.8 0x76d6
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
42
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x4492
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
43
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
44
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
45
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e97b9
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
46
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
47
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
48
PyEval_EvalCode
/lib64/libpython3.11.so.1.0 0x248e4c
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
49
run_eval_code_obj
/lib64/libpython3.11.so.1.0 0x267c13
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
50
run_mod
/lib64/libpython3.11.so.1.0 0x26403a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
51
pyrun_file
/lib64/libpython3.11.so.1.0 0x279fe2
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
52
_PyRun_SimpleFileObject
/lib64/libpython3.11.so.1.0 0x2797a9
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
53
_PyRun_AnyFileObject
/lib64/libpython3.11.so.1.0 0x279478
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
54
Py_RunMain
/lib64/libpython3.11.so.1.0 0x27311b
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
55
Py_BytesMain
/lib64/libpython3.11.so.1.0 0x238c8b
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
56
__libc_start_call_main
/lib64/libc.so.6 0x27510
Build id: db8b8949801cc5d89afa366440dcc44c9c9ca76a
-
57
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x275c9
Build id: db8b8949801cc5d89afa366440dcc44c9c9ca76a
-
58
_start
/usr/bin/python3.11 0x1095
Build id: 2179f0c994368adf0e9287396aa6382939ef5866
-
Complete report #558076
Frame # Function Binary Source or offset Line
1
gtk_application_inhibit
/lib64/libgtk-3.so.0 0xbdfe8
Build id: 861af9a185010d21c8f14a81d2e35a6cbdee6319
-
2
ffi_call_unix64
/lib64/libffi.so.8 0x76d6
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
3
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x4492
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
4
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
5
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
6
_callable_info_call
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2136e
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
7
_PyObject_MakeTpCall
/lib64/libpython3.11.so.1.0 0x1b9b13
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
8
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c2a7a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
9
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
10
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
11
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
12
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd2e5
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
13
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
14
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
15
_pygi_closure_handle
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d8b9
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
16
ffi_closure_unix64_inner
/lib64/libffi.so.8 0x72b2
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
17
ffi_closure_unix64
/lib64/libffi.so.8 0x78f8
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
18
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x55cb2
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
19
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56cbf
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
20
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xac598
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
21
g_main_loop_run
/lib64/libglib-2.0.so.0 0x5628f
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
22
gtk_dialog_run
/lib64/libgtk-3.so.0 0x161752
Build id: 861af9a185010d21c8f14a81d2e35a6cbdee6319
-
23
ffi_call_unix64
/lib64/libffi.so.8 0x76d6
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
24
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x4492
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
25
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
26
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
27
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e97b9
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
28
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
29
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
30
_PyObject_FastCallDictTstate
/lib64/libpython3.11.so.1.0 0x1bc911
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
31
slot_tp_init
/lib64/libpython3.11.so.1.0 0x1e801c
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
32
type_call
/lib64/libpython3.11.so.1.0 0x1b9d0b
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
33
_PyObject_MakeTpCall
/lib64/libpython3.11.so.1.0 0x1b9b13
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
34
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c2a7a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
35
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
36
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd2e5
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
37
marshal_emission_hook
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x21242
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
38
signal_emit_unlocked_R.isra.0
/lib64/libgobject-2.0.so.0 0x41b6a
Build id: be217b1a4293170c6f560645618214fe20be3278
-
39
pygobject_emit
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x1a0a6
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
40
method_vectorcall_VARARGS
/lib64/libpython3.11.so.1.0 0x1be3d8
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
41
PyObject_Vectorcall
/lib64/libpython3.11.so.1.0 0x1d0707
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
42
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c2a7a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
43
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
44
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fd2e5
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
45
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
46
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
47
_pygi_closure_handle
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d8b9
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
48
ffi_closure_unix64_inner
/lib64/libffi.so.8 0x72b2
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
49
ffi_closure_unix64
/lib64/libffi.so.8 0x78f8
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
50
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x55cb2
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
51
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56cbf
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
52
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xac598
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
53
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x53f40
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
54
g_application_run
/lib64/libgio-2.0.so.0 0xe194d
Build id: 93afbc6be1a75d23d7d393b1ad638cd3576586e7
-
55
ffi_call_unix64
/lib64/libffi.so.8 0x76d6
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
56
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x4492
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
57
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
58
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
59
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e97b9
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
60
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c6b48
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
61
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1be5ea
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
62
PyEval_EvalCode
/lib64/libpython3.11.so.1.0 0x248e4c
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
63
run_eval_code_obj
/lib64/libpython3.11.so.1.0 0x267c13
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
64
run_mod
/lib64/libpython3.11.so.1.0 0x26403a
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
65
pyrun_file
/lib64/libpython3.11.so.1.0 0x279fe2
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
66
_PyRun_SimpleFileObject
/lib64/libpython3.11.so.1.0 0x2797a9
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
67
_PyRun_AnyFileObject
/lib64/libpython3.11.so.1.0 0x279478
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
68
Py_RunMain
/lib64/libpython3.11.so.1.0 0x27311b
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
69
Py_BytesMain
/lib64/libpython3.11.so.1.0 0x238c8b
Build id: 38d775a133117581b4df596da4ef884a39af1ec2
-
70
__libc_start_call_main
/lib64/libc.so.6 0x27510
Build id: 765237b0355c030ff41d969eedcb87bfccb43595
-
71
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x275c9
Build id: 765237b0355c030ff41d969eedcb87bfccb43595
-
72
_start
/usr/bin/python3.11 0x1095
Build id: 2179f0c994368adf0e9287396aa6382939ef5866
-