Crash in YDialog::callEventFilters(YEvent*)

Similar reports
Problem #175719
Component
python3.10
Last affected version
0:3.10.8-3.fc35
Executable
/usr/bin/python3.10
Error name
SIGSEGV 11
First occurrence
2021-11-18
Last occurrence
2024-04-08
Unique reports
36
Quality
-312

Statistics

Operating system Unique / Total count
Fedora 35 36 / 38
Operating system Count
Fedora 35 38
Architecture Count
x86_64 38
Related packages Count
gnome-icon-theme 32
 0:3.12.0-16.fc35 32
hicolor-icon-theme 27
 0:0.17-11.fc35 26
 0:0.17-12.fc35 1
dejavu-sans-fonts 20
 0:2.37-17.fc35 20
Show moreā€¦ (17)
google-noto-sans-fonts 17
 0:20201206-3.fc35 17
libicu 10
 0:69.1-2.fc35 10
dejavu-sans-mono-fonts 9
 0:2.37-17.fc35 9
librsvg2 3
 0:2.50.7-2.fc35 3
libyui-gtk 3
 0:2.49.0-3.fc35 3
python3 3
 0:3.10.1-2.fc35 1
 0:3.10.8-3.fc35 1
 0:3.10.0-1.fc35 1
google-noto-sans-mono-fonts 3
 0:20201206-3.fc35 3
boost-filesystem 2
 0:1.76.0-4.fc35 2
shared-mime-info 2
 0:2.1-3.fc35 2
adwaita-icon-theme 2
 0:41.0-1.fc35 2
libyui-mga-gtk 2
 0:1.1.0-5.fc35 2
google-noto-sans-cjk-ttc-fonts 1
 0:20201206-3.fc35 1
glib2 1
 0:2.70.2-1.fc35 1
ibus-libs 1
 0:1.5.25-6.fc35 1
libgcroots 1
 0:0.3.2-3.fc35 1
libdbusmenu 1
 0:16.04.0-18.fc35 1
ibus-gtk3 1
 0:1.5.25-6.fc35 1

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.


Backtrace

Frame # Function Binary Source or offset Line
1
YDialog::callEventFilters(YEvent*)
/lib64/libyui.so.12 0x6b8f5
Build id: 797c02d5485d0115b167d4731c62c177c7990b06
-
2
YDialog::pollEvent()
/lib64/libyui.so.12 0x6e193
Build id: 797c02d5485d0115b167d4731c62c177c7990b06
-
3
_wrap_YDialog_pollEvent.lto_priv.0
/usr/lib64/python3.10/site-packages/_yui.so 0x5e82b
Build id: b0db222c7cb57ab2337b59c29052a08889d5e41e
-
4
cfunction_vectorcall_O
/lib64/libpython3.10.so.1.0 0x115e37
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
5
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
6
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
7
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
8
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
9
_PyObject_FastCallDictTstate
/lib64/libpython3.10.so.1.0 0x111801
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
10
slot_tp_init
/lib64/libpython3.10.so.1.0 0x11ced3
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
11
type_call
/lib64/libpython3.10.so.1.0 0x112203
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
12
_PyObject_MakeTpCall
/lib64/libpython3.10.so.1.0 0x111fb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
13
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10ec8d
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
14
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
15
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
16
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
17
method_vectorcall
/lib64/libpython3.10.so.1.0 0x11e8a8
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
18
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x108f7e
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
19
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
20
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
21
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
22
_PyObject_FastCallDictTstate
/lib64/libpython3.10.so.1.0 0x111801
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
23
_PyObject_Call_Prepend
/lib64/libpython3.10.so.1.0 0x11d0dc
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
24
slot_tp_call
/lib64/libpython3.10.so.1.0 0x1bc8d2
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
25
_PyObject_MakeTpCall
/lib64/libpython3.10.so.1.0 0x111fb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
26
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e2ee
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
27
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
28
pygi_signal_closure_marshal.lto_priv.0
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x3094a
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
29
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13c2f
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
30
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
31
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
32
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
33
item_activated
/lib64/libdbusmenu-gtk3.so.4 0x9bb4
Build id: c7b725aae4ca29ca64de268a33435a6cc7704650
-
34
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13c2f
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
35
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
36
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
37
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
38
dbusmenu_menuitem_handle_event
/lib64/libdbusmenu-glib.so.4 0xdd6e
Build id: 6bc3a1183dab693921aaa6b6c6eb265c59e02bd5
-
39
event_local_handler
/lib64/libdbusmenu-glib.so.4 0xde0b
Build id: 6bc3a1183dab693921aaa6b6c6eb265c59e02bd5
-
40
g_timeout_dispatch
/lib64/libglib-2.0.so.0 0x55b91
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
41
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5533f
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
42
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xaa2b8
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
43
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x529e3
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
44
YGUI::waitInput(unsigned long, bool)
/usr/lib64/yui/libyui-gtk.so.12 0x75e01
Build id: f3ec181cbb95893d43941ce61c35549e808e4d81
-
45
YDialog::pollEvent()
/lib64/libyui.so.12 0x6e178
Build id: 797c02d5485d0115b167d4731c62c177c7990b06
-
46
_wrap_YDialog_pollEvent.lto_priv.0
/usr/lib64/python3.10/site-packages/_yui.so 0x5e82b
Build id: b0db222c7cb57ab2337b59c29052a08889d5e41e
-
47
cfunction_vectorcall_O
/lib64/libpython3.10.so.1.0 0x115e37
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
48
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
49
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
50
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
51
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
52
_PyObject_FastCallDictTstate
/lib64/libpython3.10.so.1.0 0x111801
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
53
slot_tp_init
/lib64/libpython3.10.so.1.0 0x11ced3
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
54
type_call
/lib64/libpython3.10.so.1.0 0x112203
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
55
_PyObject_MakeTpCall
/lib64/libpython3.10.so.1.0 0x111fb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
56
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10ec8d
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
57
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
58
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
59
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
60
method_vectorcall
/lib64/libpython3.10.so.1.0 0x11e8a8
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
61
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x108f7e
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
62
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
63
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
64
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
65
_PyObject_FastCallDictTstate
/lib64/libpython3.10.so.1.0 0x111801
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
66
_PyObject_Call_Prepend
/lib64/libpython3.10.so.1.0 0x11d0dc
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
67
slot_tp_call
/lib64/libpython3.10.so.1.0 0x1bc8d2
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
68
_PyObject_MakeTpCall
/lib64/libpython3.10.so.1.0 0x111fb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
69
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e2ee
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
70
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
71
pygi_signal_closure_marshal.lto_priv.0
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x3094a
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
72
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13b6c
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
73
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
74
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
75
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
76
item_activated
/lib64/libdbusmenu-gtk3.so.4 0x9bb4
Build id: c7b725aae4ca29ca64de268a33435a6cc7704650
-
77
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13b6c
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
78
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
79
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
80
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
81
dbusmenu_menuitem_handle_event
/lib64/libdbusmenu-glib.so.4 0xdd6e
Build id: 6bc3a1183dab693921aaa6b6c6eb265c59e02bd5
-
82
event_local_handler
/lib64/libdbusmenu-glib.so.4 0xde0b
Build id: 6bc3a1183dab693921aaa6b6c6eb265c59e02bd5
-
83
g_timeout_dispatch
/lib64/libglib-2.0.so.0 0x55b91
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
84
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5533f
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
85
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xaa2b8
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
86
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x529e3
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
87
YGUI::waitInput(unsigned long, bool)
/usr/lib64/yui/libyui-gtk.so.12 0x75e44
Build id: f3ec181cbb95893d43941ce61c35549e808e4d81
-
88
YDialog::waitForEvent(int)
/lib64/libyui.so.12 0x6e2de
Build id: 797c02d5485d0115b167d4731c62c177c7990b06
-
89
YMGAMessageBox::show()
/lib64/libyui-mga.so.12 0xc8ba
Build id: 77c949a15dd28892f341914fc1a590729a6f1d12
-
90
_wrap_YMGAMessageBox_show.lto_priv.0
/usr/lib64/python3.10/site-packages/_yui.so 0xc8a9b
Build id: b0db222c7cb57ab2337b59c29052a08889d5e41e
-
91
cfunction_vectorcall_O
/lib64/libpython3.10.so.1.0 0x115e37
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
92
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
93
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
94
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
95
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
96
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
97
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
98
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
99
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
100
method_vectorcall
/lib64/libpython3.10.so.1.0 0x11e8a8
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
101
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x108f7e
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
102
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
103
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
104
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
105
_PyObject_FastCallDictTstate
/lib64/libpython3.10.so.1.0 0x111801
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
106
_PyObject_Call_Prepend
/lib64/libpython3.10.so.1.0 0x11d0dc
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
107
slot_tp_call
/lib64/libpython3.10.so.1.0 0x1bc8d2
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
108
_PyObject_MakeTpCall
/lib64/libpython3.10.so.1.0 0x111fb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
109
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e2ee
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
110
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
111
pygi_signal_closure_marshal.lto_priv.0
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x3094a
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
112
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13c2f
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
113
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
114
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
115
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
116
item_activated
/lib64/libdbusmenu-gtk3.so.4 0x9bb4
Build id: c7b725aae4ca29ca64de268a33435a6cc7704650
-
117
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13c2f
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
118
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
119
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
120
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
121
dbusmenu_menuitem_handle_event
/lib64/libdbusmenu-glib.so.4 0xdd6e
Build id: 6bc3a1183dab693921aaa6b6c6eb265c59e02bd5
-
122
event_local_handler
/lib64/libdbusmenu-glib.so.4 0xde0b
Build id: 6bc3a1183dab693921aaa6b6c6eb265c59e02bd5
-
123
g_timeout_dispatch
/lib64/libglib-2.0.so.0 0x55b91
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
124
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5533f
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
125
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xaa2b8
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
126
g_main_loop_run
/lib64/libglib-2.0.so.0 0x54903
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
127
ffi_call_unix64
/lib64/libffi.so.6 0x6c04
Build id: 0129f72b58e11d59546f7f207d2c90af7ebd9a5e
-
128
ffi_call
/lib64/libffi.so.6 0x6108
Build id: 0129f72b58e11d59546f7f207d2c90af7ebd9a5e
-
129
pygi_invoke_c_callable
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x2de1b
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
130
_wrap_g_callable_info_invoke
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x2c8d6
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
131
_callable_info_call
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x215ce
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
132
_PyObject_MakeTpCall
/lib64/libpython3.10.so.1.0 0x111fb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
133
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10ec8d
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
134
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
135
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
136
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
137
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
138
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
139
method_vectorcall
/lib64/libpython3.10.so.1.0 0x11e8a8
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
140
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10a207
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
141
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
142
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
143
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
144
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x109221
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
145
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
146
PyEval_EvalCode
/lib64/libpython3.10.so.1.0 0x182e24
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
147
run_eval_code_obj
/lib64/libpython3.10.so.1.0 0x1b2bb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
148
run_mod
/lib64/libpython3.10.so.1.0 0x1ae0d6
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
149
pyrun_file.cold
/lib64/libpython3.10.so.1.0 0x85a3c
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
150
_PyRun_SimpleFileObject
/lib64/libpython3.10.so.1.0 0x1a8792
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
151
_PyRun_AnyFileObject
/lib64/libpython3.10.so.1.0 0x1a8527
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
152
Py_RunMain
/lib64/libpython3.10.so.1.0 0x1a5664
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
153
Py_BytesMain
/lib64/libpython3.10.so.1.0 0x173fed
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
154
__libc_start_call_main
/lib64/libc.so.6 0x2d560
Build id: 148792ddec60e0b15e20655fdc10c35a5c394413
-
155
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x2d60c
Build id: 148792ddec60e0b15e20655fdc10c35a5c394413
-
156
_start
/usr/bin/python3.10 0x1095
Build id: fb0ab37232a700c1fc92e0fd8e1a6193224b1749
-