Crash in net_device_simple_get_speed

Similar reports
Problem #278009
Component
python3.10
Last affected version
0:3.10.8-3.fc35
Executable
/usr/bin/python3.10
Error name
SIGSEGV 11
First occurrence
2021-12-17
Last occurrence
2023-04-20
Unique reports
15
Quality
-82

Statistics

Operating system Unique / Total count
Fedora 35 15 / 24
Operating system Count
Fedora 35 24
Architecture Count
x86_64 24
Related packages Count
google-noto-sans-fonts 22
 0:20201206-3.fc35 22
dejavu-sans-fonts 18
 0:2.37-17.fc35 18
p11-kit-trust 13
 0:0.23.22-4.fc35 13
Show moreā€¦ (9)
python3 8
 0:3.10.8-3.fc35 7
 0:3.10.1-3.fc35 1
librsvg2 7
 0:2.52.9-1.fc35 7
opensc 7
 0:0.22.0-6.fc35 7
NetworkManager-libnm 6
 1:1.32.12-2.fc35 6
gvfs-client 6
 0:1.48.1-2.fc35 3
 0:1.48.2-1.fc35 3
audit-libs 3
 0:3.0.7-1.fc35 3
shared-mime-info 3
 0:2.1-3.fc35 3
webkit2gtk3-jsc 2
 0:2.34.5-1.fc35 2
bitstream-vera-sans-fonts 1
 0:1.10-45.fc35 1

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

No reports in the last 20 weeks.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

No reports in the last 20 weeks.


Backtrace

Frame # Function Binary Source or offset Line
1
net_device_simple_get_speed
/usr/lib64/cinnamon-control-center-1/panels/libnetwork.so 0x1a607
Build id: 549af52288f09c02175d57d666bf5ad6f996aac0
-
2
device_ethernet_refresh_ui
/usr/lib64/cinnamon-control-center-1/panels/libnetwork.so 0x22b03
Build id: 549af52288f09c02175d57d666bf5ad6f996aac0
-
3
g_closure_invoke
/lib64/libgobject-2.0.so.0 0x13c2f
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
4
signal_emit_unlocked_R
/lib64/libgobject-2.0.so.0 0x30046
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
5
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x3190a
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
6
g_signal_emit
/lib64/libgobject-2.0.so.0 0x31b23
Build id: c362ce88341e42314998a82cec0344b8d65c5421
-
7
_nm_client_notify_event_queue_emit_obj_signal_cb
/lib64/libnm.so.0 0x694b4
Build id: d819bdd99ae355bd7de70f153c6bde0d67dad2e9
-
8
_nm_client_notify_event_emit_parts
/lib64/libnm.so.0 0x60f51
Build id: d819bdd99ae355bd7de70f153c6bde0d67dad2e9
-
9
_dbus_handle_changes_commit
/lib64/libnm.so.0 0x711fc
Build id: d819bdd99ae355bd7de70f153c6bde0d67dad2e9
-
10
_nm_client_get_settings_call_cb
/lib64/libnm.so.0 0xf0f19
Build id: d819bdd99ae355bd7de70f153c6bde0d67dad2e9
-
11
_nm_client_dbus_call_simple_cb
/lib64/libnm.so.0 0x65c92
Build id: d819bdd99ae355bd7de70f153c6bde0d67dad2e9
-
12
g_task_return_now
/lib64/libgio-2.0.so.0 0xb107a
Build id: 1d4002186997ed4065454e04cd71027673df49aa
-
13
g_task_return
/lib64/libgio-2.0.so.0 0xb127b
Build id: 1d4002186997ed4065454e04cd71027673df49aa
-
14
g_dbus_connection_call_done
/lib64/libgio-2.0.so.0 0x11177f
Build id: 1d4002186997ed4065454e04cd71027673df49aa
-
15
g_task_return_now
/lib64/libgio-2.0.so.0 0xb107a
Build id: 1d4002186997ed4065454e04cd71027673df49aa
-
16
complete_in_idle_cb
/lib64/libgio-2.0.so.0 0xb10bd
Build id: 1d4002186997ed4065454e04cd71027673df49aa
-
17
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x5152b
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
18
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5533f
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
19
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xaa2b8
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
20
g_main_loop_run
/lib64/libglib-2.0.so.0 0x54903
Build id: 2b899125cfc5dc91a26a9aef52959c6da0a5445b
-
21
gtk_main
/lib64/libgtk-3.so.0 0x24a62d
Build id: e92387df6202fc3b48f11565244fb42a0958d6f0
-
22
ffi_call_unix64
/lib64/libffi.so.6 0x6c04
Build id: 0129f72b58e11d59546f7f207d2c90af7ebd9a5e
-
23
ffi_call
/lib64/libffi.so.6 0x6108
Build id: 0129f72b58e11d59546f7f207d2c90af7ebd9a5e
-
24
pygi_invoke_c_callable
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x2de1b
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
25
_wrap_g_callable_info_invoke
/usr/lib64/python3.10/site-packages/gi/_gi.cpython-310-x86_64-linux-gnu.so 0x2c8d6
Build id: b50aebe18438eebe667c7dfee848ed07e885503b
-
26
_PyObject_Call
/lib64/libpython3.10.so.1.0 0x11ed48
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
27
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10bfcb
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
28
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
29
_PyEval_EvalFrameDefault
/lib64/libpython3.10.so.1.0 0x10e099
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
30
_PyEval_Vector
/lib64/libpython3.10.so.1.0 0x107e44
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
31
PyEval_EvalCode
/lib64/libpython3.10.so.1.0 0x182e24
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
32
run_eval_code_obj
/lib64/libpython3.10.so.1.0 0x1b2bb4
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
33
run_mod
/lib64/libpython3.10.so.1.0 0x1ae0d6
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
34
pyrun_file.cold
/lib64/libpython3.10.so.1.0 0x85a3c
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
35
_PyRun_SimpleFileObject
/lib64/libpython3.10.so.1.0 0x1a8792
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
36
_PyRun_AnyFileObject
/lib64/libpython3.10.so.1.0 0x1a8527
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
37
Py_RunMain
/lib64/libpython3.10.so.1.0 0x1a5664
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
38
Py_BytesMain
/lib64/libpython3.10.so.1.0 0x173fed
Build id: 0b352520e811dbea19ac3496c6a3f424c6b70952
-
39
__libc_start_call_main
/lib64/libc.so.6 0x2d560
Build id: 148792ddec60e0b15e20655fdc10c35a5c394413
-
40
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x2d60c
Build id: 148792ddec60e0b15e20655fdc10c35a5c394413
-
41
_start
/usr/bin/python3.10 0x1095
Build id: fb0ab37232a700c1fc92e0fd8e1a6193224b1749
-