Crash in __pthread_kill_implementation

Similar reports
Problem #538484
Component
virt-manager
Last affected version
0:4.1.0-1.fc37
Executable
/usr/bin/python3.11
Error name
SIGABRT 6
First occurrence
2023-01-29
Last occurrence
2023-02-09
Unique reports
4
Quality
-87

Statistics

Operating system Unique / Total count
Fedora 37 4 / 4
Operating system Count
Fedora 37 4
Architecture Count
x86_64 4
Related packages Count
virt-manager 4
 0:4.1.0-1.fc37 4

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

No reports in the last 20 weeks.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

No reports in the last 20 weeks.


Backtrace

Frame # Function Binary Source or offset Line
1
__pthread_kill_implementation
/lib64/libc.so.6 0x8ce5c
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
2
raise
/lib64/libc.so.6 0x3ca76
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
3
abort
/lib64/libc.so.6 0x267fc
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
4
/lib64/libglib-2.0.so.0 0x755ae
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
5
slab_allocator_alloc_chunk
/lib64/libglib-2.0.so.0 0x75abe
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
6
g_slice_alloc
/lib64/libglib-2.0.so.0 0x77086
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
7
_pygi_make_native_closure
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x31d19
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
8
_pygi_marshal_from_py_interface_callback
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x31e1e
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
9
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e4eb
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
10
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
11
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e93b9
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
12
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c7177
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
13
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1bed6a
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
14
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1fce95
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
15
_PyObject_CallFunctionVa
/lib64/libpython3.11.so.1.0 0x1b7179
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
16
_PyObject_CallMethod_SizeT
/lib64/libpython3.11.so.1.0 0x2a65d4
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
17
libvirt_virConnectNodeDeviceEventGenericCallback
/usr/lib64/python3.11/site-packages/libvirtmod.cpython-311-x86_64-linux-gnu.so 0x3b459
Build id: 8f2866fabbda7876d0a18001def85ad1f7ea0fad
-
18
virNodeDeviceEventDispatchDefaultFunc
/lib64/libvirt.so.0 0x1d2ba5
Build id: becb6142c17b2648c16d1ef6848d918ff3bef433
-
19
virObjectEventTimer
/lib64/libvirt.so.0 0x1d32d8
Build id: becb6142c17b2648c16d1ef6848d918ff3bef433
-
20
gvir_event_timeout_dispatch
/lib64/libvirt-glib-1.0.so.0 0x2609
Build id: 4f6abc113a515009bd8350006ce489e5c7cb5783
-
21
g_timeout_dispatch
/lib64/libglib-2.0.so.0 0x574c8
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
22
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x56cbf
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
23
g_main_context_iterate.constprop.0
/lib64/libglib-2.0.so.0 0xac598
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
24
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x53f40
Build id: 2e3f66df3fd65783975e00e471f9ba46bc371aec
-
25
g_application_run
/lib64/libgio-2.0.so.0 0xe194d
Build id: 93afbc6be1a75d23d7d393b1ad638cd3576586e7
-
26
ffi_call_unix64
/lib64/libffi.so.8 0x76d6
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
27
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x4492
Build id: bfbaa691e04ac523b4ce7970b3d2c9dc9b2aa296
-
28
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e7cf
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
29
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2d1e0
Build id: 813c9a3807397e8f6ff582335041f510d33f461c
-
30
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1e93b9
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
31
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1c7177
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
32
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1bed6a
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
33
PyEval_EvalCode
/lib64/libpython3.11.so.1.0 0x2485ac
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
34
run_eval_code_obj
/lib64/libpython3.11.so.1.0 0x2676e3
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
35
run_mod
/lib64/libpython3.11.so.1.0 0x263c1a
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
36
pyrun_file
/lib64/libpython3.11.so.1.0 0x279c22
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
37
_PyRun_SimpleFileObject
/lib64/libpython3.11.so.1.0 0x2793e9
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
38
_PyRun_AnyFileObject
/lib64/libpython3.11.so.1.0 0x279058
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
39
Py_RunMain
/lib64/libpython3.11.so.1.0 0x272d1b
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
40
Py_BytesMain
/lib64/libpython3.11.so.1.0 0x23846b
Build id: 9d868741540705968c5828b88d43cf18d2ba9eba
-
41
__libc_start_call_main
/lib64/libc.so.6 0x27510
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
42
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x275c9
Build id: 81daba31ee66dbd63efdc4252a872949d874d136
-
43
_start
/usr/bin/python3.11 0x1095
Build id: e72a5de1b03828d4ff56f605b4d15cedc47b8fd8
-