Crash in g_type_check_instance_cast

Similar reports
Problem #572430
Component
network-manager-applet
Last affected version
0:1.30.0-3.fc38
Executable
/usr/bin/nm-connection-editor
Error name
SIGSEGV 11
First occurrence
2023-05-28
Last occurrence
2024-04-16
Unique reports
5
Quality
-42

Statistics

Operating system Unique / Total count
Fedora 38 5 / 7
Operating system Count
Fedora 38 7
Architecture Count
x86_64 7
Related packages Count
openssl-libs 7
 1:3.0.9-2.fc38 4
 1:3.0.9-1.fc38 2
 1:3.0.8-2.fc38 1
libstdc++ 7
 0:13.2.1-1.fc38 3
 0:13.1.1-2.fc38 2
 0:13.1.1-4.fc38 1
 0:13.2.1-7.fc38 1
nm-connection-editor 7
 0:1.30.0-3.fc38 7
Show moreā€¦ (10)
NetworkManager-vpnc 4
 1:1.2.8-3.fc38 4
libxslt 4
 0:1.1.38-1.fc38 4
xmlsec1 4
 0:1.2.37-2.fc38 4
libtool-ltdl 3
 0:2.4.7-6.fc38 3
opensc 2
 0:0.23.0-3.fc38 1
 0:0.25.0-1.fc38 1
NetworkManager-openvpn 1
 1:1.10.2-2.fc38 1
abattis-cantarell-fonts 1
 0:0.301-9.fc38 1
NetworkManager-libnm 1
 1:1.42.6-1.fc38 1
NetworkManager-ssh-gnome 1
 0:1.2.12-5.fc38 1
NetworkManager-pptp 1
 1:1.2.10-3.fc38 1

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.


Backtrace

Frame # Function Binary Source or offset Line
1
g_type_check_instance_cast
/lib64/libgobject-2.0.so.0 0x3b390
Build id: b55c92f031fedd072e70033d85328db5ff5ea9e2
-
2
intro_device_removed_cb.lto_priv.0
/lib64/libnma.so.0 0x29fe5
Build id: 1936d121b45e47fa27974e970b265fa76441fd0b
-
3
g_cclosure_marshal_VOID__OBJECTv
/lib64/libgobject-2.0.so.0 0x12f67
Build id: b55c92f031fedd072e70033d85328db5ff5ea9e2
-
4
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x33cda
Build id: b55c92f031fedd072e70033d85328db5ff5ea9e2
-
5
g_signal_emit
/lib64/libgobject-2.0.so.0 0x33e53
Build id: b55c92f031fedd072e70033d85328db5ff5ea9e2
-
6
_nm_client_notify_event_queue_emit_obj_signal_cb
/lib64/libnm.so.0 0x704d3
Build id: 3ea3f10b8df55e0586f3c3c4bba2bb408bd9aff7
-
7
_nm_client_notify_event_emit_parts
/lib64/libnm.so.0 0x63b04
Build id: 3ea3f10b8df55e0586f3c3c4bba2bb408bd9aff7
-
8
_dbus_handle_changes_commit
/lib64/libnm.so.0 0x79141
Build id: 3ea3f10b8df55e0586f3c3c4bba2bb408bd9aff7
-
9
_dbus_properties_changed_cb
/lib64/libnm.so.0 0x7b8bd
Build id: 3ea3f10b8df55e0586f3c3c4bba2bb408bd9aff7
-
10
emit_signal_instance_in_idle_cb
/lib64/libgio-2.0.so.0 0x109670
Build id: 0a4212dc268b69a2ab4415e32b2353ca1e8aa3cc
-
11
g_idle_dispatch
/lib64/libglib-2.0.so.0 0x5839d
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
12
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5c39c
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
13
_ctx_integ_source_dispatch
/lib64/libnm.so.0 0x5f0be
Build id: 3ea3f10b8df55e0586f3c3c4bba2bb408bd9aff7
-
14
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5c39c
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
15
g_main_context_iterate.isra.0
/lib64/libglib-2.0.so.0 0xba438
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
16
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x59a23
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
17
g_application_run
/lib64/libgio-2.0.so.0 0xe801d
Build id: 0a4212dc268b69a2ab4415e32b2353ca1e8aa3cc
-
18
main
/usr/bin/nm-connection-editor 0x16fba
Build id: 02ddb8436a077eded254dcbb3c1284ffb0740f4c
-
19
__libc_start_call_main
/lib64/libc.so.6 0x27b4a
Build id: 245240a31888ad5c11bbc55b18e02d87388f59a9
-
20
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x27c0b
Build id: 245240a31888ad5c11bbc55b18e02d87388f59a9
-
21
_start
/usr/bin/nm-connection-editor 0x17045
Build id: 02ddb8436a077eded254dcbb3c1284ffb0740f4c
-