Crash in g_log_structured_array

Similar reports
Problem #538484
Component
virt-manager
Last affected version
0:4.1.0-2.fc38
Executable
/usr/bin/python3.11
Error name
SIGTRAP 5
First occurrence
2023-05-30
Last occurrence
2023-06-04
Unique reports
2
Quality
-88

Statistics

Operating system Unique / Total count
Fedora 38 2 / 4
Operating system Count
Fedora 38 4
Architecture Count
x86_64 4
Related packages Count
virt-manager 4
 0:4.1.0-2.fc38 4
python3 4
 0:3.11.3-1.fc38 4

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

No reports in the last 20 weeks.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

No reports in the last 20 weeks.


Backtrace

Frame # Function Binary Source or offset Line
1
g_log_structured_array
/lib64/libglib-2.0.so.0 0x61a2f
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
2
g_log_default_handler
/lib64/libglib-2.0.so.0 0x61d1c
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
3
g_logv
/lib64/libglib-2.0.so.0 0x61fc0
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
4
g_log
/lib64/libglib-2.0.so.0 0x622a3
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
5
g_malloc
/lib64/libglib-2.0.so.0 0x62318
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
6
g_slice_alloc
/lib64/libglib-2.0.so.0 0x79615
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
7
_pygi_make_native_closure
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x3338d
Build id: 00b72a1d7a2a89c02a89ca2fe1304e4a0d064081
-
8
_pygi_marshal_from_py_interface_callback
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x33499
Build id: 00b72a1d7a2a89c02a89ca2fe1304e4a0d064081
-
9
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2f3f0
Build id: 00b72a1d7a2a89c02a89ca2fe1304e4a0d064081
-
10
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e03a
Build id: 00b72a1d7a2a89c02a89ca2fe1304e4a0d064081
-
11
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1de029
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
12
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1bd52a
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
13
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1b54aa
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
14
method_vectorcall
/lib64/libpython3.11.so.1.0 0x1f0a75
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
15
_PyObject_CallFunctionVa
/lib64/libpython3.11.so.1.0 0x1ada89
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
16
_PyObject_CallMethod_SizeT
/lib64/libpython3.11.so.1.0 0x2952e4
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
17
libvirt_virConnectNodeDeviceEventGenericCallback
/usr/lib64/python3.11/site-packages/libvirtmod.cpython-311-x86_64-linux-gnu.so 0x3b9f2
Build id: 5113b6aa25145f2640182a0ecd77ba85c3171408
-
18
virNodeDeviceEventDispatchDefaultFunc
/lib64/libvirt.so.0 0x1d8110
Build id: 1153e396511f8ca78fbad9fc0527748068398faa
-
19
virObjectEventTimer
/lib64/libvirt.so.0 0x1d8833
Build id: 1153e396511f8ca78fbad9fc0527748068398faa
-
20
gvir_event_timeout_dispatch
/lib64/libvirt-glib-1.0.so.0 0x261d
Build id: f62fe14bb7bb27ddbdeb6dcf8dedf33e2b3f04e5
-
21
g_timeout_dispatch
/lib64/libglib-2.0.so.0 0x5cc69
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
22
g_main_context_dispatch
/lib64/libglib-2.0.so.0 0x5c39c
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
23
g_main_context_iterate.isra.0
/lib64/libglib-2.0.so.0 0xba438
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
24
g_main_context_iteration
/lib64/libglib-2.0.so.0 0x59a23
Build id: 4477ca37e3b61bca6e9aba802b32cba8890d2c3c
-
25
g_application_run
/lib64/libgio-2.0.so.0 0xe801d
Build id: 0a4212dc268b69a2ab4415e32b2353ca1e8aa3cc
-
26
ffi_call_unix64
/lib64/libffi.so.8 0x7be6
Build id: f5312719df7de0c6ae9d42ed18680d8016bb59d4
-
27
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x44bf
Build id: f5312719df7de0c6ae9d42ed18680d8016bb59d4
-
28
ffi_call
/lib64/libffi.so.8 0x718e
Build id: f5312719df7de0c6ae9d42ed18680d8016bb59d4
-
29
pygi_invoke_c_callable
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2f6eb
Build id: 00b72a1d7a2a89c02a89ca2fe1304e4a0d064081
-
30
_wrap_g_callable_info_invoke
/usr/lib64/python3.11/site-packages/gi/_gi.cpython-311-x86_64-linux-gnu.so 0x2e03a
Build id: 00b72a1d7a2a89c02a89ca2fe1304e4a0d064081
-
31
_PyObject_Call
/lib64/libpython3.11.so.1.0 0x1de029
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
32
_PyEval_EvalFrameDefault
/lib64/libpython3.11.so.1.0 0x1bd52a
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
33
_PyEval_Vector
/lib64/libpython3.11.so.1.0 0x1b54aa
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
34
PyEval_EvalCode
/lib64/libpython3.11.so.1.0 0x239f5c
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
35
run_eval_code_obj
/lib64/libpython3.11.so.1.0 0x257823
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
36
run_mod
/lib64/libpython3.11.so.1.0 0x253e2a
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
37
pyrun_file
/lib64/libpython3.11.so.1.0 0x269be2
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
38
_PyRun_SimpleFileObject
/lib64/libpython3.11.so.1.0 0x269398
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
39
_PyRun_AnyFileObject
/lib64/libpython3.11.so.1.0 0x268ff8
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
40
Py_RunMain
/lib64/libpython3.11.so.1.0 0x262f1c
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
41
Py_BytesMain
/lib64/libpython3.11.so.1.0 0x229f5b
Build id: 486392b9c965505e765de7bc81c249eaa9ccc414
-
42
__libc_start_call_main
/lib64/libc.so.6 0x27b4a
Build id: 245240a31888ad5c11bbc55b18e02d87388f59a9
-
43
__libc_start_main@@GLIBC_2.34
/lib64/libc.so.6 0x27c0b
Build id: 245240a31888ad5c11bbc55b18e02d87388f59a9
-
44
_start
/usr/bin/python3.11 0x1095
Build id: 2d05cbc55ed113fb0595a7bcc1705cc944fad095
-