Crash in __pthread_kill_implementation

Similar reports
Problem #654890
Component
lutris
Last affected version
0:0.5.17-3.fc39
Executable
/usr/bin/python3.12
Error name
SIGABRT 6
First occurrence
2024-02-02
Last occurrence
2024-04-27
Unique reports
4
Quality
-69

Statistics

Operating system Unique / Total count
Fedora 39 4 / 4
Operating system Count
Fedora 39 4
Architecture Count
x86_64 4
Related packages Count
llvm-libs 4
 0:17.0.6-3.fc39 3
 0:17.0.6-2.fc39 1
python3 4
 0:3.12.2-2.fc39 3
 0:3.12.1-2.fc39 1
lutris 4
 0:0.5.16-2.fc39 2
 0:0.5.17-3.fc39 2
Show moreā€¦ (6)
librsvg2 3
 0:2.57.1-1.fc39 3
mesa-vulkan-drivers 2
 0:23.3.6-1.fc39 2
google-noto-sans-mono-vf-fonts 1
 0:20230801-4.fc39 1
ncurses-libs 1
 0:6.4-7.20230520.fc39 1
xorg-x11-drv-nvidia-libs 1
 3:550.54.14-1.fc39 1
libedit 1
 0:3.1-48.20230828cvs.fc39 1

Packages names with count less than the total count of reports are most probably not the cause of the problem.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.

History

Daily:

Weekly:

Monthly:

No reports in the last 20 days.


Backtrace

Frame # Function Binary Source or offset Line
1
__pthread_kill_implementation
/lib64/libc.so.6 0x90834
Build id: 788cdd41a15985bf8e0a48d213a46e07d58822df
-
2
raise
/lib64/libc.so.6 0x3e8ee
Build id: 788cdd41a15985bf8e0a48d213a46e07d58822df
-
3
abort
/lib64/libc.so.6 0x268ff
Build id: 788cdd41a15985bf8e0a48d213a46e07d58822df
-
4
g_assertion_message_expr.cold
/lib64/libglib-2.0.so.0 0x20056
Build id: b6cf99186f67796c572114f06c6ee38c300c212b
-
5
g_assertion_message_expr
/lib64/libglib-2.0.so.0 0x81ad7
Build id: b6cf99186f67796c572114f06c6ee38c300c212b
-
6
/lib64/libgtk-3.so.0 0x13dc2c
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
7
gtk_css_node_ensure_style.part.0
/lib64/libgtk-3.so.0 0x1398eb
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
8
gtk_css_node_get_style
/lib64/libgtk-3.so.0 0x139b5d
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
9
gtk_widget_update_alpha.lto_priv.0
/lib64/libgtk-3.so.0 0x3708e3
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
10
gtk_widget_realize
/lib64/libgtk-3.so.0 0x35e287
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
11
gtk_widget_set_parent
/lib64/libgtk-3.so.0 0x368e38
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
12
gtk_bin_add
/lib64/libgtk-3.so.0 0xc332b
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
13
g_cclosure_marshal_VOID__OBJECTv
/lib64/libgobject-2.0.so.0 0x12fa7
Build id: 0378350ec67b6c4cb51aad5c4d581cce802a077b
-
14
signal_emit_valist_unlocked
/lib64/libgobject-2.0.so.0 0x33e85
Build id: 0378350ec67b6c4cb51aad5c4d581cce802a077b
-
15
g_signal_emit_valist
/lib64/libgobject-2.0.so.0 0x33f91
Build id: 0378350ec67b6c4cb51aad5c4d581cce802a077b
-
16
g_signal_emit
/lib64/libgobject-2.0.so.0 0x34053
Build id: 0378350ec67b6c4cb51aad5c4d581cce802a077b
-
17
gtk_container_add
/lib64/libgtk-3.so.0 0x11cef5
Build id: 21acdee46a15804ce706989903a9a18a0c72c61e
-
18
ffi_call_unix64
/lib64/libffi.so.8 0x9056
Build id: 308041eea4a8d89d9265d3c24b7261dfbe44a61e
-
19
ffi_call_int.lto_priv.0
/lib64/libffi.so.8 0x55ef
Build id: 308041eea4a8d89d9265d3c24b7261dfbe44a61e
-
20
ffi_call
/lib64/libffi.so.8 0x83fe
Build id: 308041eea4a8d89d9265d3c24b7261dfbe44a61e
-
21
pygi_invoke_c_callable
/usr/lib64/python3.12/site-packages/gi/_gi.cpython-312-x86_64-linux-gnu.so 0x30bdb
Build id: d4320362f5375fa683c0a86ad11bf0b59ed02fc8
-
22
_wrap_g_callable_info_invoke
/usr/lib64/python3.12/site-packages/gi/_gi.cpython-312-x86_64-linux-gnu.so 0x2f12a
Build id: d4320362f5375fa683c0a86ad11bf0b59ed02fc8
-
23
_callable_info_call
/usr/lib64/python3.12/site-packages/gi/_gi.cpython-312-x86_64-linux-gnu.so 0x2166f
Build id: d4320362f5375fa683c0a86ad11bf0b59ed02fc8
-
24
_PyObject_MakeTpCall
/lib64/libpython3.12.so.1.0 0x1f7156
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
25
_PyEval_EvalFrameDefault.cold
/lib64/libpython3.12.so.1.0 0x10f75a
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
26
method_vectorcall
/lib64/libpython3.12.so.1.0 0x235346
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
27
_PyEval_EvalFrameDefault.cold
/lib64/libpython3.12.so.1.0 0x11017b
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
28
method_vectorcall
/lib64/libpython3.12.so.1.0 0x235346
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
29
_PyEval_EvalFrameDefault.cold
/lib64/libpython3.12.so.1.0 0x11017b
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
30
method_vectorcall
/lib64/libpython3.12.so.1.0 0x235346
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
31
thread_run
/lib64/libpython3.12.so.1.0 0x2fa69c
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
32
pythread_wrapper
/lib64/libpython3.12.so.1.0 0x2c726c
Build id: 77f2720b4a6d04c6896fabf45d54fda1d7cdcb27
-
33
start_thread
/lib64/libc.so.6 0x8e897
Build id: 788cdd41a15985bf8e0a48d213a46e07d58822df
-
34
__clone3
/lib64/libc.so.6 0x1156fc
Build id: 788cdd41a15985bf8e0a48d213a46e07d58822df
-